main.py 75 KB

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455565758596061626364656667686970717273747576777879808182838485868788899091929394959697989910010110210310410510610710810911011111211311411511611711811912012112212312412512612712812913013113213313413513613713813914014114214314414514614714814915015115215315415515615715815916016116216316416516616716816917017117217317417517617717817918018118218318418518618718818919019119219319419519619719819920020120220320420520620720820921021121221321421521621721821922022122222322422522622722822923023123223323423523623723823924024124224324424524624724824925025125225325425525625725825926026126226326426526626726826927027127227327427527627727827928028128228328428528628728828929029129229329429529629729829930030130230330430530630730830931031131231331431531631731831932032132232332432532632732832933033133233333433533633733833934034134234334434534634734834935035135235335435535635735835936036136236336436536636736836937037137237337437537637737837938038138238338438538638738838939039139239339439539639739839940040140240340440540640740840941041141241341441541641741841942042142242342442542642742842943043143243343443543643743843944044144244344444544644744844945045145245345445545645745845946046146246346446546646746846947047147247347447547647747847948048148248348448548648748848949049149249349449549649749849950050150250350450550650750850951051151251351451551651751851952052152252352452552652752852953053153253353453553653753853954054154254354454554654754854955055155255355455555655755855956056156256356456556656756856957057157257357457557657757857958058158258358458558658758858959059159259359459559659759859960060160260360460560660760860961061161261361461561661761861962062162262362462562662762862963063163263363463563663763863964064164264364464564664764864965065165265365465565665765865966066166266366466566666766866967067167267367467567667767867968068168268368468568668768868969069169269369469569669769869970070170270370470570670770870971071171271371471571671771871972072172272372472572672772872973073173273373473573673773873974074174274374474574674774874975075175275375475575675775875976076176276376476576676776876977077177277377477577677777877978078178278378478578678778878979079179279379479579679779879980080180280380480580680780880981081181281381481581681781881982082182282382482582682782882983083183283383483583683783883984084184284384484584684784884985085185285385485585685785885986086186286386486586686786886987087187287387487587687787887988088188288388488588688788888989089189289389489589689789889990090190290390490590690790890991091191291391491591691791891992092192292392492592692792892993093193293393493593693793893994094194294394494594694794894995095195295395495595695795895996096196296396496596696796896997097197297397497597697797897998098198298398498598698798898999099199299399499599699799899910001001100210031004100510061007100810091010101110121013101410151016101710181019102010211022102310241025102610271028102910301031103210331034103510361037103810391040104110421043104410451046104710481049105010511052105310541055105610571058105910601061106210631064106510661067106810691070107110721073107410751076107710781079108010811082108310841085108610871088108910901091109210931094109510961097109810991100110111021103110411051106110711081109111011111112111311141115111611171118111911201121112211231124112511261127112811291130113111321133113411351136113711381139114011411142114311441145114611471148114911501151115211531154115511561157115811591160116111621163116411651166116711681169117011711172117311741175117611771178117911801181118211831184118511861187118811891190119111921193119411951196119711981199120012011202120312041205120612071208120912101211121212131214121512161217121812191220122112221223122412251226122712281229123012311232123312341235123612371238123912401241124212431244124512461247124812491250125112521253125412551256125712581259126012611262126312641265126612671268126912701271127212731274127512761277127812791280128112821283128412851286128712881289129012911292129312941295129612971298129913001301130213031304130513061307130813091310131113121313131413151316131713181319132013211322132313241325132613271328132913301331133213331334133513361337133813391340134113421343134413451346134713481349135013511352135313541355135613571358135913601361136213631364136513661367136813691370137113721373137413751376137713781379138013811382138313841385138613871388138913901391139213931394139513961397139813991400140114021403140414051406140714081409141014111412141314141415141614171418141914201421142214231424142514261427142814291430143114321433143414351436143714381439144014411442144314441445144614471448144914501451145214531454145514561457145814591460146114621463146414651466146714681469147014711472147314741475147614771478147914801481148214831484148514861487148814891490149114921493149414951496
  1. import modelverse_kernel.primitives as primitive_functions
  2. import modelverse_kernel.compiled as compiled_functions
  3. from modelverse_kernel.request_handler import RequestHandler
  4. import modelverse_kernel.jit as jit
  5. from collections import defaultdict
  6. import sys
  7. import time
  8. import json
  9. if sys.version > '3': # pragma: no cover
  10. string_types = (str,)
  11. else:
  12. string_types = (str, unicode)
  13. PROFILE = False
  14. class ModelverseKernel(object):
  15. counter = 0
  16. def __init__(self, root):
  17. self.root = root
  18. self.returnvalue = None
  19. # request_handlers is a dictionary of tasknames to dictionaries of operations
  20. # to request handlers. In generics notation:
  21. #
  22. # Dictionary<
  23. # Username,
  24. # Dictionary<
  25. # Operation,
  26. # RequestHandler>>
  27. #
  28. self.request_handlers = {}
  29. self.allow_compiled = True
  30. # `self.jit` handles most JIT-related functionality.
  31. self.jit = jit.ModelverseJit()
  32. self.jit.compiled_function_lookup = lambda func_name : getattr(compiled_functions, func_name, None)
  33. self.jit.body_cache = {}
  34. self.debug_info = defaultdict(list)
  35. if PROFILE:
  36. self.prev_timers = {}
  37. self.end_timers = {}
  38. self.profiling = True
  39. self.profile_file = open("../profiling_results", 'w')
  40. else:
  41. self.profiling = False
  42. def try_to_protect(self, var):
  43. if isinstance(var, dict) and "id" in var and var['id'] is not None:
  44. return set([var['id']])
  45. elif type(var) == int:
  46. return set([var])
  47. elif isinstance(var, dict):
  48. protect = set()
  49. for v in var.values():
  50. protect |= self.try_to_protect(v)
  51. return protect
  52. elif isinstance(var, list):
  53. protect = set()
  54. for v in var:
  55. protect |= self.try_to_protect(v)
  56. return protect
  57. elif isinstance(var, set):
  58. protect = set()
  59. for v in var:
  60. protect |= self.try_to_protect(v)
  61. return protect
  62. return set()
  63. def protect_temporary_variables(self, taskname):
  64. generators = []
  65. for h in self.request_handlers.values():
  66. for handler in h.values():
  67. for generator in handler.generator_stack:
  68. generators.append(generator)
  69. to_protect = set()
  70. for gen in generators:
  71. try:
  72. variables = gen.gi_frame.f_locals
  73. for var in variables.values():
  74. to_protect |= self.try_to_protect(var)
  75. except:
  76. pass
  77. # Create the node to which everything is attached
  78. self.fixed_node, = yield [("CN", [])]
  79. yield [("CE", [self.root, self.fixed_node])]
  80. yield [("CE", [self.fixed_node, node]) for node in to_protect]
  81. yield [("RETURN", [None])]
  82. def unprotect_temporary_variables(self, taskname):
  83. yield [("DN", [self.fixed_node])]
  84. yield [("RETURN", [None])]
  85. def execute_yields(self, taskname, operation, params, reply):
  86. self.taskname = taskname
  87. if taskname not in self.request_handlers:
  88. self.request_handlers[taskname] = {}
  89. self.jit.cache.setdefault(taskname, {})
  90. if operation not in self.request_handlers[taskname]:
  91. # Create the generator for the function to execute
  92. self.request_handlers[taskname][operation] = RequestHandler()
  93. handler = self.request_handlers[taskname][operation]
  94. if len(handler.generator_stack) == 0:
  95. handler.push_generator(getattr(self, operation)(taskname, *params))
  96. return handler.handle_request(reply)
  97. def execute_rule(self, taskname):
  98. task_root, = yield [("RD", [self.root, taskname])]
  99. if task_root is None:
  100. yield [("SLEEP", [0.01, False])]
  101. yield None
  102. else:
  103. task_frame, = yield [("RD", [task_root, "frame"])]
  104. self.inst, phase = yield [("RD", [task_frame, "IP"]),
  105. ("RD", [task_frame, "phase"]),
  106. ]
  107. self.new_debug, self.phase_v, inst_v = \
  108. yield [("RD", [self.inst, "__debug"]),
  109. ("RV", [phase]),
  110. ("RV", [self.inst]),
  111. ]
  112. if self.new_debug is not None:
  113. if self.debug_info[taskname]:
  114. self.debug_info[taskname][-1], = yield [("RV", [self.new_debug])]
  115. if self.phase_v == "finish":
  116. gen = self.helper_init(task_root)
  117. elif self.inst is None:
  118. raise Exception("Instruction pointer could not be found!")
  119. elif isinstance(self.phase_v, string_types):
  120. if self.phase_v == "init" and self.jit.is_jittable_entry_point(self.inst):
  121. #print("%-30s(%s)" % ("COMPILED " + str(self.jit.jitted_entry_points[self.inst]), phase_v))
  122. gen = self.execute_jit(task_root, self.inst, taskname, store=True, resolve=False)
  123. elif inst_v is None:
  124. raise Exception("%s: error understanding command (%s, %s)" % (self.debug_info[taskname], inst_v, self.phase_v))
  125. else:
  126. gen = self.get_inst_phase_generator(inst_v, self.phase_v, task_root)
  127. elif inst_v is None:
  128. raise Exception("%s: error understanding command (%s, %s)" % (self.debug_info[taskname], inst_v, self.phase_v))
  129. elif inst_v["value"] == "call":
  130. #print("%-30s(%s)" % ("call", "param"))
  131. gen = self.call_param(task_root)
  132. else:
  133. raise Exception("%s: error understanding command (%s, %s)" % (self.debug_info[taskname], inst_v, self.phase_v))
  134. yield [("CALL", [gen])]
  135. yield [("FINISH", [])]
  136. def get_inst_phase_generator(self, inst_v, phase_v, task_root):
  137. """Gets a generator for the given instruction in the given phase,
  138. for the specified task root."""
  139. #print("%-30s(%s) -- %s" % (inst_v["value"], phase_v, taskname))
  140. return getattr(self, "%s_%s" % (inst_v["value"], phase_v))(task_root)
  141. ##########################
  142. ### Process primitives ###
  143. ##########################
  144. def load_primitives(self, taskname):
  145. yield [("CALL_ARGS", [self.load_primitives_from, (taskname, 'primitives', primitive_functions)])]
  146. yield [("FINISH", [])]
  147. def load_primitives_from(self, taskname, source_name, source):
  148. hierarchy, = yield [("RD", [self.root, "__hierarchy"])]
  149. primitives, = yield [("RD", [hierarchy, source_name])]
  150. keys, = yield [("RDK", [primitives])]
  151. function_names = yield [("RV", [f]) for f in keys]
  152. signatures = yield [("RDN", [primitives, f]) for f in keys]
  153. bodies = yield [("RD", [f, "body"]) for f in signatures]
  154. for i in range(len(keys)):
  155. self.jit.register_compiled(bodies[i], getattr(source, function_names[i]), function_names[i])
  156. yield [("RETURN", [None])]
  157. def print_instruction(self, inst, indent, nested_indent=None):
  158. """
  159. intrinsics = {"integer_addition": (lambda x, y: "(%s + %s)" % (x, y)),
  160. "string_join": (lambda x, y: "(str(%s) + str(%s))" % (x, y)),
  161. }
  162. """
  163. intrinsics = {}
  164. if nested_indent is None:
  165. nested_indent = indent
  166. inst_type, = yield [("RV", [inst])]
  167. instruction = "(no_printer_for_%s)" % inst_type["value"]
  168. prev = ""
  169. if inst_type["value"] == "if":
  170. cond, true, false = yield [("RD", [inst, "cond"]),
  171. ("RD", [inst, "then"]),
  172. ("RD", [inst, "else"])]
  173. (prev_cond, instruction_cond), = yield [("CALL_ARGS", [self.print_instruction, (cond, 0, indent)])]
  174. (prev_true, instruction_true), = yield [("CALL_ARGS", [self.print_instruction, (true, indent+1)])]
  175. if false:
  176. (prev_false, instruction_false), = yield [("CALL_ARGS", [self.print_instruction, (false, indent+1)])]
  177. false = (" " * indent + "else:\n%s%s") % (prev_false, instruction_false)
  178. else:
  179. false = ""
  180. instruction = prev_cond + \
  181. " " * indent + "if 'value' not in %s:\n" % instruction_cond + \
  182. " " * (indent + 1) + "%s['value'], = yield [('RV', [%s['id']])]\n" % (instruction_cond, instruction_cond) + \
  183. " " * indent + "if (%s['value']):\n" % instruction_cond + \
  184. prev_true + \
  185. instruction_true + \
  186. false
  187. elif inst_type["value"] == "constant":
  188. node, = yield [("RD", [inst, "node"])]
  189. node_value, = yield [("RV", [node])]
  190. if node_value is not None:
  191. # There is a value to the node, so replicate the value
  192. if isinstance(node_value, string_types):
  193. value = '"%s"' % node_value.replace('"', '\\"').replace("'", "\\'").replace('\t', '\\t').replace('\n', '\\n')
  194. else:
  195. value = str(node_value)
  196. instruction = "constant_" + str(ModelverseKernel.counter)
  197. ModelverseKernel.counter += 1
  198. prev = " " * nested_indent + instruction + " = {'value': " + value + "}\n"
  199. else:
  200. # Node is None, meaning that it was not about the value, but the node itself...
  201. instruction = "{'id': %s}" % str(node)
  202. elif inst_type["value"] == "return":
  203. value, = yield [("RD", [inst, "value"])]
  204. if value:
  205. (prev_value, instruction_value), = yield [("CALL_ARGS", [self.print_instruction, (value, 0, indent)])]
  206. instruction = prev_value + " " * indent + "yield [('RETURN', [%s])]\n" % instruction_value
  207. else:
  208. instruction = " " * indent + "yield [('RETURN', [None])]\n"
  209. elif inst_type["value"] == "declare":
  210. instruction = ""
  211. elif inst_type["value"] == "global":
  212. instruction = ""
  213. elif inst_type["value"] == "break":
  214. instruction = " " * indent + "break\n"
  215. elif inst_type["value"] == "continue":
  216. instruction = " " * indent + "continue\n"
  217. elif inst_type["value"] == "input":
  218. prev = " " * nested_indent + "_inputs, = yield [('RD', [_root, 'input'])]\n" + \
  219. " " * nested_indent + "val, val_e, nxt = yield [('RD', [_inputs, 'value']), ('RDE', [_inputs, 'value']), ('RD', [_inputs, 'next'])]\n" + \
  220. " " * nested_indent + "_, val_e = yield [('DE', [val_e]), ('RDE', [_root, 'input'])]\n" + \
  221. " " * nested_indent + "yield [('CD', [_root, 'input', nxt]), ('DE', [val_e])]\n" + \
  222. " " * nested_indent + "_result = {'id': val}\n"
  223. instruction = "_result"
  224. elif inst_type["value"] == "output":
  225. value, = yield [("RD", [inst, "value"])]
  226. (prev, instruction), = yield [("CALL_ARGS", [self.print_instruction, (value, 0, indent)])]
  227. instruction = prev + \
  228. " " * indent + "if 'id' not in %s:\n" % instruction + \
  229. " " * (indent + 1) + "%s['id'], = yield [('CNV', [%s['value']])]\n" % (instruction, instruction) + \
  230. " " * indent + "_outputs, _outputs_e = yield [('RD', [_root, 'last_output']), ('RDE', [_root, 'last_output'])]\n" + \
  231. " " * indent + "_, _new = yield [('CD', [_outputs, 'value', %s['id']]), ('CN', [])]\n" % instruction + \
  232. " " * indent + "yield [('CD', [_outputs, 'next', _new]), ('DE', [_outputs_e]), ('CD', [_root, 'last_output', _new])]\n"
  233. elif inst_type["value"] == "resolve":
  234. value, = yield [("RD", [inst, "var"])]
  235. str_value, = yield [("RV", [value])]
  236. if str_value:
  237. # Is a global
  238. prev = \
  239. " " * nested_indent + "%s = _mvk.jit.cache[_taskname].get('%s', None)\n" % (str_value, str_value) + \
  240. " " * nested_indent + "if %s is None:\n" % str_value + \
  241. " " * (nested_indent + 1) + "%s, = yield [('RD', [_globs, '%s'])]\n" % (str_value, str_value) + \
  242. " " * (nested_indent + 1) + "%s, = yield [('RD', [%s, 'value'])]\n" % (str_value, str_value) + \
  243. " " * (nested_indent + 1) + "%s = {'id': %s}\n" % (str_value, str_value) + \
  244. " " * (nested_indent + 1) + "_mvk.jit.cache[_taskname]['%s'] = %s\n" % (str_value, str_value)
  245. instruction = str_value
  246. if self.jit.get_global_body_id(str_value) is None:
  247. val, = yield [("RD", [self.root, self.taskname])]
  248. val, = yield [("RD", [val, 'globals'])]
  249. val, = yield [("RD", [val, str_value])]
  250. val, = yield [("RD", [val, 'value'])]
  251. val, = yield [("RD", [val, 'body'])]
  252. self.jit.register_global(val, str_value)
  253. else:
  254. # Is a local
  255. instruction = "var_%s" % value
  256. elif inst_type["value"] == "assign":
  257. var, val = yield [("RD", [inst, "var"]),
  258. ("RD", [inst, "value"])]
  259. (prev_var, instruction_var), = yield [("CALL_ARGS", [self.print_instruction, (var, 0, indent)])]
  260. (prev_val, instruction_val), = yield [("CALL_ARGS", [self.print_instruction, (val, 0, indent)])]
  261. instruction = prev_val + " " * indent + instruction_var + " = " + instruction_val + "\n"
  262. if prev_var:
  263. # Got something to do before the variable is usable, so this is a global!
  264. # Therefore we actually do the operation in the Modelverse as well!
  265. instruction += \
  266. " " * nested_indent + "_var, = yield [('RD', [_globs, '%s'])]\n" % instruction_var + \
  267. " " * nested_indent + "if _var is None:\n" + \
  268. " " * (nested_indent + 1) + "_var, = yield [('CN', [])]\n" + \
  269. " " * (nested_indent + 1) + "yield [('CD', [_globs, '%s', _var])]\n" % instruction_var + \
  270. " " * nested_indent + "_old_edge, = yield [('RDE', [_var, 'value'])]\n" + \
  271. " " * nested_indent + "if 'id' not in %s:\n" % instruction_var + \
  272. " " * (nested_indent + 1) + "%s['id'], = yield [('CNV', [%s['value']])]\n" % (instruction_var, instruction_var) + \
  273. " " * nested_indent + "yield [('CD', [_var, 'value', %s['id']]), ('DE', [_old_edge])]\n" % instruction_var + \
  274. " " * nested_indent + "_mvk.jit.cache[_taskname]['%s'] = %s\n" % (instruction_var, instruction_var)
  275. elif inst_type["value"] == "call":
  276. func_name, = yield [("RD", [inst, "func"])]
  277. (prev_func_name, func_name), = yield [("CALL_ARGS", [self.print_instruction, (func_name, nested_indent, nested_indent)])]
  278. param_list = {}
  279. param, = yield [("RD", [inst, "params"])]
  280. computation = ""
  281. while param:
  282. value, name = yield [("RD", [param, "value"]), ("RD", [param, "name"])]
  283. name, = yield [("RV", [name])]
  284. (prev_res, instruction_res), = yield [("CALL_ARGS", [self.print_instruction, (value, 0, nested_indent)])]
  285. param, = yield [("RD", [param, "next_param"])]
  286. computation += prev_res
  287. param_list[name] = instruction_res
  288. value = "func_result_" + str(ModelverseKernel.counter)
  289. ModelverseKernel.counter += 1
  290. param_list = "{" + ", ".join(["'%s': %s" % (k, v) for k, v in param_list.items()]) + "}"
  291. actual_computation = "$$INDENT$$%s, = yield [('CALL_ARGS', [_mvk.execute_jit, (_root, %s['id'], _taskname, %s)])]\n" % (value, func_name, param_list)
  292. if indent == 0:
  293. # No indent, meaning that we use it inline
  294. # Therefore, we output the prev and value individually
  295. prev, instruction = prev_func_name + computation + actual_computation.replace("$$INDENT$$", " " * nested_indent), value
  296. else:
  297. # Some indentation, meaning that we don't even use the return value
  298. # Therefore, we only do the yield
  299. prev, instruction = prev_func_name + computation, actual_computation.replace("$$INDENT$$", " " * indent)
  300. elif inst_type["value"] == "access":
  301. value, = yield [("RD", [inst, "var"])]
  302. (prev, instruction), = yield [("CALL_ARGS", [self.print_instruction, (value, 0, nested_indent)])]
  303. elif inst_type["value"] == "while":
  304. cond, body = yield [("RD", [inst, "cond"]),
  305. ("RD", [inst, "body"])]
  306. (prev_cond, instruction_cond), = yield [("CALL_ARGS", [self.print_instruction, (cond, 0, indent+1)])]
  307. (prev_body, instruction_body), = yield [("CALL_ARGS", [self.print_instruction, (body, indent+1)])]
  308. instruction = " " * indent + "__counter_%s = 0\n" % inst + \
  309. " " * indent + "while 1:\n" + prev_cond + \
  310. " " * (indent + 1) + "if 'value' not in %s:\n" % instruction_cond + \
  311. " " * (indent + 2) + "%s['value'], = yield [('RV', [%s['id']])]\n" % (instruction_cond, instruction_cond) + \
  312. " " * (indent + 1) + "if not (%s['value']):\n" % instruction_cond + \
  313. " " * (indent + 2) + "break\n" + \
  314. " " * (indent + 1) + "else:\n" + \
  315. " " * (indent + 2) + "__counter_%s += 1\n" % inst + \
  316. " " * (indent + 2) + "if __counter_%s > 20:\n" % inst + \
  317. " " * (indent + 3) + "yield None\n" + \
  318. " " * (indent + 3) + "__counter_%s = 0\n" % inst + \
  319. prev_body + instruction_body
  320. next_inst, = yield [("RD", [inst, "next"])]
  321. if next_inst:
  322. (prev_next, inst_next), = yield [("CALL_ARGS", [self.print_instruction, (next_inst, indent)])]
  323. next_inst = prev_next + inst_next
  324. else:
  325. next_inst = ""
  326. yield [('RETURN', [(prev, instruction + next_inst)])]
  327. def read_function(self, inst, suggested_name):
  328. initial_instruction = inst
  329. (params, _, is_mutable), = yield [("CALL_ARGS", [self.jit.jit_signature, (inst,)])]
  330. if is_mutable:
  331. print("Ignoring mutable or unreadable: %s" % suggested_name)
  332. raise jit.JitCompilationFailedException("FAIL")
  333. (prev, printed), = yield [("CALL_ARGS", [self.print_instruction, (inst, 1)])]
  334. preamble = " _mvk = kwargs['mvk']\n" + \
  335. " _root = kwargs['task_root']\n" + \
  336. " _taskname = kwargs['taskname']\n" + \
  337. " _globs = _mvk.jit.cache[_taskname].get('_globs', None)\n" + \
  338. " if _globs is None:\n" + \
  339. " _globs, = yield [('RD', [kwargs['task_root'], 'globals'])]\n" + \
  340. " _mvk.jit.cache[_taskname]['_globs'] = _globs\n"
  341. printed = preamble + prev + printed
  342. if params:
  343. func = "def " + suggested_name + "(" + ", ".join([chr(ord('a') + i) for i in range(len(params))]) + ", **kwargs):\n" + "".join([" var_%s = %s\n" % (param, chr(ord('a') + i)) for i, param in enumerate(params)]) + printed
  344. else:
  345. func = "def " + suggested_name + "(**kwargs):\n" + printed
  346. try:
  347. # Try to write out the generated code
  348. with open('/tmp/%s' % suggested_name, 'w') as f:
  349. f.write(func)
  350. except:
  351. pass
  352. yield [("RETURN", [func])]
  353. def execute_jit(self, task_root, inst, taskname, params = {}, store=False, resolve=True):
  354. # execute_jit
  355. if resolve:
  356. try:
  357. inst = self.jit.body_cache[inst]
  358. except KeyError:
  359. body, = yield [("RD", [inst, "body"])]
  360. self.jit.body_cache[inst] = body
  361. inst = body
  362. if store:
  363. task_frame, = yield [("RD", [task_root, "frame"])]
  364. symbols, = yield [("RD", [task_frame, "symbols"])]
  365. dict_keys_ref, = yield [("RDK", [symbols])]
  366. if dict_keys_ref:
  367. dict_keys_ref_n = yield [("RD", [i, "name"]) for i in dict_keys_ref]
  368. dict_keys = yield [("RV", [i]) for i in dict_keys_ref_n]
  369. dict_values_elem = yield [("RDN", [symbols, i]) for i in dict_keys_ref]
  370. dict_values = yield [("RD", [i, "value"]) for i in dict_values_elem]
  371. parameters = dict(zip(dict_keys, dict_values))
  372. parameters.update(params)
  373. for p in parameters.keys():
  374. if not isinstance(parameters[p], dict):
  375. parameters[p] = {'id': parameters[p]}
  376. params = parameters
  377. params["root"] = self.root
  378. params["task_root"] = task_root
  379. params["taskname"] = taskname
  380. params["mvk"] = self
  381. # Have the JIT compile the function.
  382. if inst is None:
  383. suggested_name = self.jit.get_global_name(inst)
  384. if suggested_name is None:
  385. suggested_name = "func_%s" % str(inst)
  386. raise ValueError('body_id cannot be None: ' + str(suggested_name))
  387. elif inst in self.jit.jitted_entry_points:
  388. compiled_func = self.jit.jit_globals[self.jit.jitted_entry_points[inst]]
  389. else:
  390. compiled_func = self.jit.lookup_compiled_body(inst)
  391. if compiled_func is None:
  392. suggested_name = self.jit.get_global_name(inst)
  393. if suggested_name is None:
  394. suggested_name = "func_%s" % str(inst)
  395. compiled_func, = yield [("CALL_ARGS", [self.read_function, (inst, suggested_name)])]
  396. compiled_func = self.merge_function(compiled_func, suggested_name)
  397. self.jit.register_compiled(inst, compiled_func, suggested_name)
  398. # Run the compiled function.
  399. if self.profiling:
  400. self.profile_file.write(json.dumps([gen.__name__ for gen in self.request_handlers[taskname]['execute_rule'].generator_stack if gen is not None and gen.__name__ not in ['execute_rule', 'execute_jit']] + [time.time() - self.prev_timers[taskname]]) + "\n")
  401. self.prev_timers[taskname] = time.time()
  402. if compiled_func == primitive_functions.dict_read:
  403. if "value" not in params['b']:
  404. params['b']['value'], = yield [("RV", [params['b']['id']])]
  405. result, = yield [("RD", [params['a']['id'], params['b']['value']])]
  406. result = {'id': result}
  407. else:
  408. results = yield [("CALL_KWARGS", [compiled_func, params])]
  409. if results is None:
  410. raise Exception("%s: primitive finished without returning a value!" % (self.debug_info[taskname]))
  411. else:
  412. result, = results
  413. if result is None:
  414. result = {'id': None, 'value': None}
  415. if self.profiling:
  416. self.profile_file.write(json.dumps([gen.__name__ for gen in self.request_handlers[taskname]['execute_rule'].generator_stack if gen is not None and gen.__name__ not in ['execute_rule', 'execute_jit']] + [compiled_func.__name__, time.time() - self.prev_timers[taskname]]) + "\n")
  417. self.prev_timers[taskname] = time.time()
  418. if store:
  419. # Clean up the current stack, as if a return happened
  420. old_frame, exception_return = yield [
  421. ("RD", [task_frame, "prev"]),
  422. ("RD", [task_frame, primitive_functions.EXCEPTION_RETURN_KEY])]
  423. if "id" not in result:
  424. result['id'], = yield [("CNV", [result['value']])]
  425. if exception_return is not None:
  426. # The caller has requested that we throw an exception instead of injecting
  427. # the return value into the caller's frame. Read the comment at
  428. # primitive_functions.EXCEPTION_RETURN_KEY for the rationale behind this design.
  429. yield [("CD", [task_root, "frame", old_frame]),
  430. ("DN", [task_frame])]
  431. raise primitive_functions.InterpretedFunctionFinished(result)
  432. else:
  433. lnk, = yield [("RDE", [old_frame, "returnvalue"])]
  434. _, _, _, _ = yield [("CD", [old_frame, "returnvalue", result['id']]),
  435. ("CD", [task_root, "frame", old_frame]),
  436. ("DE", [lnk]),
  437. ("DN", [task_frame]),
  438. ]
  439. else:
  440. yield [("RETURN", [result])]
  441. yield [("RETURN", [None])]
  442. def merge_function(self, func, name):
  443. exec(func, self.jit.jit_globals)
  444. return self.jit.jit_globals[name]
  445. ########################################
  446. ### Execute input and output methods ###
  447. ########################################
  448. def get_output(self, taskname):
  449. task_root, = yield [("RD", [self.root, taskname])]
  450. first_output, = yield [("RD", [task_root, "output"])]
  451. next_output, rv = yield [("RD", [first_output, "next"]),
  452. ("RD", [first_output, "value"]),
  453. ]
  454. if next_output is None:
  455. self.success = False
  456. self.returnvalue = None
  457. else:
  458. rv_value, _, _ = \
  459. yield [("RV", [rv]),
  460. ("CD", [task_root, "output", next_output]),
  461. ("DN", [first_output]),
  462. ]
  463. self.returnvalue = rv_value
  464. self.success = True
  465. #print("OUTPUT: (%s, %s)" % (taskname, self.returnvalue))
  466. yield [("FINISH", [])]
  467. def set_input(self, taskname, value):
  468. task_root, = yield [("RD", [self.root, taskname])]
  469. old_input, link, new_input, new_value = \
  470. yield [("RD", [task_root, "last_input"]),
  471. ("RDE", [task_root, "last_input"]),
  472. ("CN", []),
  473. ("CNV", [value]),
  474. ]
  475. if new_value == None:
  476. new_value = self.root
  477. _, _, _, _ = yield [("CD", [task_root, "last_input", new_input]),
  478. ("CD", [old_input, "next", new_input]),
  479. ("CD", [old_input, "value", new_value]),
  480. ("DE", [link])
  481. ]
  482. #print("INPUT: (%s, %s)" % (taskname, value))
  483. self.returnvalue = {"id": 100, "value": "success"}
  484. yield [("FINISH", [])]
  485. #############################################
  486. ### Transformation rules for instructions ###
  487. #############################################
  488. def continue_init(self, task_root):
  489. task_frame, = yield [("RD", [task_root, "frame"])]
  490. inst, = yield [("RD", [task_frame, "IP"])]
  491. while_inst, = yield [("RD", [inst, "while"])]
  492. old_evalstack_link, old_phase_link, evalstack_roots = \
  493. yield [("RDE", [task_frame, "evalstack"]),
  494. ("RDE", [task_frame, "phase"]),
  495. ("RRD", [while_inst, self.taskname]),
  496. ]
  497. if len(evalstack_roots) == 1:
  498. evalstack_root = evalstack_roots[0]
  499. else:
  500. print("Got roots: " + str(evalstack_roots))
  501. raise Exception("Could not process continue statement!")
  502. prev_evalstack_roots, old_evalstack_phase_link = \
  503. yield [("RRD", [evalstack_root, "prev"]),
  504. ("RDE", [evalstack_root, "phase"]),
  505. ]
  506. if len(prev_evalstack_roots) == 1:
  507. prev_evalstack_root = prev_evalstack_roots[0]
  508. else:
  509. raise Exception("Could not process continue statement!")
  510. new_evalstack_root, new_phase_while, new_phase_inst, prev_evalstack_root_link = \
  511. yield [("CN", []),
  512. ("CNV", ["init"]),
  513. ("CNV", ["finish"]),
  514. ("RDE", [prev_evalstack_root, "prev"]),
  515. ]
  516. _, _, _, _, _, _, _, _ = \
  517. yield [("CD", [task_frame, "evalstack", new_evalstack_root]),
  518. ("CD", [new_evalstack_root, "prev", evalstack_root]),
  519. ("CD", [task_frame, "phase", new_phase_inst]),
  520. ("CD", [evalstack_root, "phase", new_phase_while]),
  521. ("DE", [old_evalstack_link]),
  522. ("DE", [prev_evalstack_root_link]),
  523. ("DE", [old_phase_link]),
  524. ("DE", [old_evalstack_phase_link]),
  525. ]
  526. yield [("RETURN", [None])]
  527. def break_init(self, task_root):
  528. task_frame, = yield [("RD", [task_root, "frame"])]
  529. inst, = yield [("RD", [task_frame, "IP"])]
  530. while_inst, = yield [("RD", [inst, "while"])]
  531. old_evalstack_link, old_phase_link, evalstack_roots = \
  532. yield [("RDE", [task_frame, "evalstack"]),
  533. ("RDE", [task_frame, "phase"]),
  534. ("RRD", [while_inst, self.taskname]),
  535. ]
  536. if len(evalstack_roots) == 1:
  537. evalstack_root = evalstack_roots[0]
  538. else:
  539. raise Exception("Could not process break statement!")
  540. prev_evalstack_roots, old_evalstack_phase_link = \
  541. yield [("RRD", [evalstack_root, "prev"]),
  542. ("RDE", [evalstack_root, "phase"]),
  543. ]
  544. if len(prev_evalstack_roots) == 1:
  545. prev_evalstack_root = prev_evalstack_roots[0]
  546. else:
  547. raise Exception("Could not process break statement!")
  548. new_evalstack_root, new_phase_while, new_phase_inst, prev_evalstack_root_link = \
  549. yield [("CN", []),
  550. ("CNV", ["finish"]),
  551. ("CNV", ["finish"]),
  552. ("RDE", [prev_evalstack_root, "prev"]),
  553. ]
  554. _, _, _, _, _, _, _, _ = \
  555. yield [("CD", [task_frame, "evalstack", new_evalstack_root]),
  556. ("CD", [new_evalstack_root, "prev", evalstack_root]),
  557. ("CD", [task_frame, "phase", new_phase_inst]),
  558. ("CD", [evalstack_root, "phase", new_phase_while]),
  559. ("DE", [old_evalstack_link]),
  560. ("DE", [prev_evalstack_root_link]),
  561. ("DE", [old_phase_link]),
  562. ("DE", [old_evalstack_phase_link]),
  563. ]
  564. yield [("RETURN", [None])]
  565. def if_init(self, task_root):
  566. task_frame, = yield [("RD", [task_root, "frame"])]
  567. evalstack, evalstack_link = \
  568. yield [("RD", [task_frame, "evalstack"]),
  569. ("RDE", [task_frame, "evalstack"]),
  570. ]
  571. inst, ip_link = yield [("RD", [task_frame, "IP"]),
  572. ("RDE", [task_frame, "IP"]),
  573. ]
  574. cond, = yield [("RD", [inst, "cond"])]
  575. new_evalstack, new_phase = \
  576. yield [("CN", []),
  577. ("CNV", ["cond"]),
  578. ]
  579. _, _, _, _, _, _, _ = \
  580. yield [("CD", [task_frame, "evalstack", new_evalstack]),
  581. ("CD", [new_evalstack, "prev", evalstack]),
  582. ("CD", [task_frame, "IP", cond]),
  583. ("CD", [evalstack, "inst", inst]),
  584. ("CD", [evalstack, "phase", new_phase]),
  585. ("DE", [evalstack_link]),
  586. ("DE", [ip_link]),
  587. ]
  588. yield [("RETURN", [None])]
  589. def if_cond(self, task_root):
  590. task_frame, = yield [("RD", [task_root, "frame"])]
  591. returnvalue, inst = yield [("RD", [task_frame, "returnvalue"]),
  592. ("RD", [task_frame, "IP"]),
  593. ]
  594. returnvalue_v, = yield [("RV", [returnvalue])]
  595. _else, = yield [("RD", [inst, "else"])]
  596. if returnvalue_v:
  597. phase_link, evalstack, evalstack_link, ip_link, _then, new_evalstack, evalstack_phase, new_phase = \
  598. yield [("RDE", [task_frame, "phase"]),
  599. ("RD", [task_frame, "evalstack"]),
  600. ("RDE", [task_frame, "evalstack"]),
  601. ("RDE", [task_frame, "IP"]),
  602. ("RD", [inst, "then"]),
  603. ("CN", []),
  604. ("CNV", ["finish"]),
  605. ("CNV", ["init"]),
  606. ]
  607. _, _, _, _, _, _, _, _, _ = \
  608. yield [("CD", [task_frame, "evalstack", new_evalstack]),
  609. ("CD", [task_frame, "IP", _then]),
  610. ("CD", [new_evalstack, "prev", evalstack]),
  611. ("CD", [evalstack, "inst", inst]),
  612. ("CD", [evalstack, "phase", evalstack_phase]),
  613. ("CD", [task_frame, "phase", new_phase]),
  614. ("DE", [evalstack_link]),
  615. ("DE", [ip_link]),
  616. ("DE", [phase_link]),
  617. ]
  618. elif _else is None:
  619. phase_link, new_phase = \
  620. yield [("RDE", [task_frame, "phase"]),
  621. ("CNV", ["finish"]),
  622. ]
  623. _, _ = yield [("CD", [task_frame, "phase", new_phase]),
  624. ("DE", [phase_link]),
  625. ]
  626. else:
  627. phase_link, evalstack, evalstack_link, ip_link = \
  628. yield [("RDE", [task_frame, "phase"]),
  629. ("RD", [task_frame, "evalstack"]),
  630. ("RDE", [task_frame, "evalstack"]),
  631. ("RDE", [task_frame, "IP"]),
  632. ]
  633. new_evalstack, new_phase, evalstack_phase = \
  634. yield [("CN", []),
  635. ("CNV", ["init"]),
  636. ("CNV", ["finish"]),
  637. ]
  638. _, _, _, _, _, _, _, _, _ = \
  639. yield [("CD", [task_frame, "evalstack", new_evalstack]),
  640. ("CD", [task_frame, "IP", _else]),
  641. ("CD", [new_evalstack, "prev", evalstack]),
  642. ("CD", [evalstack, "inst", inst]),
  643. ("CD", [evalstack, "phase", evalstack_phase]),
  644. ("CD", [task_frame, "phase", new_phase]),
  645. ("DE", [evalstack_link]),
  646. ("DE", [ip_link]),
  647. ("DE", [phase_link]),
  648. ]
  649. yield [("RETURN", [None])]
  650. def while_init(self, task_root):
  651. task_frame, = yield [("RD", [task_root, "frame"])]
  652. evalstack, evalstack_link, ip_link, inst = \
  653. yield [("RD", [task_frame, "evalstack"]),
  654. ("RDE", [task_frame, "evalstack"]),
  655. ("RDE", [task_frame, "IP"]),
  656. ("RD", [task_frame, "IP"]),
  657. ]
  658. cond, new_evalstack, new_phase = \
  659. yield [("RD", [inst, "cond"]),
  660. ("CN", []),
  661. ("CNV", ["cond"]),
  662. ]
  663. _, _, _, _, _, _, _ = \
  664. yield [("CD", [task_frame, "evalstack", new_evalstack]),
  665. ("CD", [new_evalstack, "prev", evalstack]),
  666. ("CD", [task_frame, "IP", cond]),
  667. ("CD", [evalstack, "phase", new_phase]),
  668. ("CD", [evalstack, "inst", inst]),
  669. ("DE", [evalstack_link]),
  670. ("DE", [ip_link]),
  671. ]
  672. yield [("RETURN", [None])]
  673. def while_cond(self, task_root):
  674. task_frame, = yield [("RD", [task_root, "frame"])]
  675. returnvalue, = yield [("RD", [task_frame, "returnvalue"])]
  676. returnvalue_v, = yield [("RV", [returnvalue])]
  677. if returnvalue_v:
  678. phase_link, evalstack, evalstack_link, ip_link, inst = \
  679. yield [("RDE", [task_frame, "phase"]),
  680. ("RD", [task_frame, "evalstack"]),
  681. ("RDE", [task_frame, "evalstack"]),
  682. ("RDE", [task_frame, "IP"]),
  683. ("RD", [task_frame, "IP"]),
  684. ]
  685. body, = yield [("RD", [inst, "body"])]
  686. new_evalstack, new_phase, evalstack_phase = \
  687. yield [("CN", []),
  688. ("CNV", ["init"]),
  689. ("CNV", ["init"]),
  690. ]
  691. _, _, _, _, _, _, _, _, _ = \
  692. yield [("CD", [task_frame, "IP", body]),
  693. ("CD", [task_frame, "phase", new_phase]),
  694. ("CD", [task_frame, "evalstack", new_evalstack]),
  695. ("CD", [new_evalstack, "prev", evalstack]),
  696. ("CD", [evalstack, "inst", inst]),
  697. ("CD", [evalstack, "phase", evalstack_phase]),
  698. ("DE", [evalstack_link]),
  699. ("DE", [ip_link]),
  700. ("DE", [phase_link]),
  701. ]
  702. # Check if we already have a taskname link to the evalstack
  703. links, = yield [("RD", [evalstack, self.taskname])]
  704. if links is None:
  705. yield [("CD", [evalstack, self.taskname, inst])]
  706. else:
  707. phase_link, new_phase = \
  708. yield [("RDE", [task_frame, "phase"]),
  709. ("CNV", ["finish"]),
  710. ]
  711. _, _ = yield [("CD", [task_frame, "phase", new_phase]),
  712. ("DE", [phase_link])
  713. ]
  714. yield [("RETURN", [None])]
  715. def access_init(self, task_root):
  716. task_frame, = yield [("RD", [task_root, "frame"])]
  717. evalstack, evalstack_link, inst, ip_link = \
  718. yield [("RD", [task_frame, "evalstack"]),
  719. ("RDE", [task_frame, "evalstack"]),
  720. ("RD", [task_frame, "IP"]),
  721. ("RDE", [task_frame, "IP"]),
  722. ]
  723. var, new_evalstack, new_phase = \
  724. yield [("RD", [inst, "var"]),
  725. ("CN", []),
  726. ("CNV", ["eval"]),
  727. ]
  728. _, _, _, _, _, _, _ = \
  729. yield [("CD", [task_frame, "IP", var]),
  730. ("CD", [task_frame, "evalstack", new_evalstack]),
  731. ("CD", [new_evalstack, "prev", evalstack]),
  732. ("CD", [evalstack, "inst", inst]),
  733. ("CD", [evalstack, "phase", new_phase]),
  734. ("DE", [evalstack_link]),
  735. ("DE", [ip_link]),
  736. ]
  737. yield [("RETURN", [None])]
  738. def access_eval(self, task_root):
  739. task_frame, = yield [("RD", [task_root, "frame"])]
  740. phase_link, returnvalue_link, returnvalue = \
  741. yield [("RDE", [task_frame, "phase"]),
  742. ("RDE", [task_frame, "returnvalue"]),
  743. ("RD", [task_frame, "returnvalue"]),
  744. ]
  745. value, new_phase = yield [("RD", [returnvalue, "value"]),
  746. ("CNV", ["finish"]),
  747. ]
  748. _, _, _, _ = yield [("CD", [task_frame, "phase", new_phase]),
  749. ("CD", [task_frame, "returnvalue", value]),
  750. ("DE", [phase_link]),
  751. ("DE", [returnvalue_link]),
  752. ]
  753. yield [("RETURN", [None])]
  754. def resolve_init(self, task_root):
  755. task_frame, = yield [("RD", [task_root, "frame"])]
  756. symbols, evalstack, evalstack_link, ip_link, inst = \
  757. yield [("RD", [task_frame, "symbols"]),
  758. ("RD", [task_frame, "evalstack"]),
  759. ("RDE", [task_frame, "evalstack"]),
  760. ("RDE", [task_frame, "IP"]),
  761. ("RD", [task_frame, "IP"]),
  762. ]
  763. var, = yield [("RD", [inst, "var"])]
  764. variable, = yield [("RDN", [symbols, var])]
  765. if variable is None:
  766. phase_link, returnvalue_link, _globals, var_name = \
  767. yield [("RDE", [task_frame, "phase"]),
  768. ("RDE", [task_frame, "returnvalue"]),
  769. ("RD", [task_root, "globals"]),
  770. ("RV", [var]),
  771. ]
  772. variable, new_phase = \
  773. yield [("RD", [_globals, var_name]),
  774. ("CNV", ["finish"]),
  775. ]
  776. if variable is None:
  777. globs, = yield [("RDK", [_globals])]
  778. print("Globals: " + str(globs))
  779. globs = yield [("RV", [i]) for i in globs]
  780. print("Resolved globals: " + str(globs))
  781. raise Exception(jit.GLOBAL_NOT_FOUND_MESSAGE_FORMAT % var_name)
  782. # Resolved a global, so this is a string
  783. # Potentially, this might even be a function that we have precompiled already!
  784. # So check whether this is the case or not
  785. if self.allow_compiled:
  786. compiled_function = getattr(compiled_functions, var_name, None)
  787. if compiled_function is not None:
  788. # We have a compiled function ready!
  789. # Now we have to bind the ID to the compiled functions
  790. # For this, we read out the body of the resolved data
  791. compiler_val, = yield [("RD", [variable, "value"])]
  792. compiler_body, = yield [("RD", [compiler_val, "body"])]
  793. self.jit.register_compiled(compiler_body, compiled_function, var_name)
  794. # If we're dealing with a function, then we might want to figure out what its body id
  795. # is now so we can suggest a name to the JIT later.
  796. if self.jit.get_global_body_id(var_name) is None:
  797. compiler_val, = yield [("RD", [variable, "value"])]
  798. if compiler_val is not None:
  799. compiler_body, = yield [("RD", [compiler_val, "body"])]
  800. if compiler_body is not None:
  801. self.jit.register_global(compiler_body, var_name)
  802. else:
  803. phase_link, returnvalue_link, new_phase = \
  804. yield [("RDE", [task_frame, "phase"]),
  805. ("RDE", [task_frame, "returnvalue"]),
  806. ("CNV", ["finish"]),
  807. ]
  808. _, _, _, _ = yield [("CD", [task_frame, "phase", new_phase]),
  809. ("CD", [task_frame, "returnvalue", variable]),
  810. ("DE", [phase_link]),
  811. ("DE", [returnvalue_link]),
  812. ]
  813. yield [("RETURN", [None])]
  814. def assign_init(self, task_root):
  815. task_frame, = yield [("RD", [task_root, "frame"])]
  816. evalstack, evalstack_link, ip_link, inst = \
  817. yield [("RD", [task_frame, "evalstack"]),
  818. ("RDE", [task_frame, "evalstack"]),
  819. ("RDE", [task_frame, "IP"]),
  820. ("RD", [task_frame, "IP"]),
  821. ]
  822. var, new_evalstack, new_phase = \
  823. yield [("RD", [inst, "var"]),
  824. ("CN", []),
  825. ("CNV", ["value"]),
  826. ]
  827. _, _, _, _, _, _, _ = \
  828. yield [("CD", [task_frame, "IP", var]),
  829. ("CD", [task_frame, "evalstack", new_evalstack]),
  830. ("CD", [new_evalstack, "prev", evalstack]),
  831. ("CD", [evalstack, "inst", inst]),
  832. ("CD", [evalstack, "phase", new_phase]),
  833. ("DE", [evalstack_link]),
  834. ("DE", [ip_link]),
  835. ]
  836. yield [("RETURN", [None])]
  837. def assign_value(self, task_root):
  838. task_frame, = yield [("RD", [task_root, "frame"])]
  839. phase_link, evalstack, returnvalue, evalstack_link, ip_link, inst = \
  840. yield [("RDE", [task_frame, "phase"]),
  841. ("RD", [task_frame, "evalstack"]),
  842. ("RD", [task_frame, "returnvalue"]),
  843. ("RDE", [task_frame, "evalstack"]),
  844. ("RDE", [task_frame, "IP"]),
  845. ("RD", [task_frame, "IP"]),
  846. ]
  847. value, new_evalstack, new_phase, evalstack_phase = \
  848. yield [("RD", [inst, "value"]),
  849. ("CN", []),
  850. ("CNV", ["init"]),
  851. ("CNV", ["assign"]),
  852. ]
  853. _, _, _, _, _, _, _, _, _, _ = \
  854. yield [("CD", [task_frame, "variable", returnvalue]),
  855. ("CD", [task_frame, "phase", new_phase]),
  856. ("CD", [task_frame, "evalstack", new_evalstack]),
  857. ("CD", [new_evalstack, "prev", evalstack]),
  858. ("CD", [evalstack, "inst", inst]),
  859. ("CD", [evalstack, "phase", evalstack_phase]),
  860. ("CD", [task_frame, "IP", value]),
  861. ("DE", [evalstack_link]),
  862. ("DE", [phase_link]),
  863. ("DE", [ip_link]),
  864. ]
  865. yield [("RETURN", [None])]
  866. def assign_assign(self, task_root):
  867. task_frame, = yield [("RD", [task_root, "frame"])]
  868. phase_link, returnvalue, variable_link, variable = \
  869. yield [("RDE", [task_frame, "phase"]),
  870. ("RD", [task_frame, "returnvalue"]),
  871. ("RDE", [task_frame, "variable"]),
  872. ("RD", [task_frame, "variable"]),
  873. ]
  874. value_link, new_phase = \
  875. yield [("RDE", [variable, "value"]),
  876. ("CNV", ["finish"]),
  877. ]
  878. _, _, _, _, _ = yield [("CD", [task_frame, "phase", new_phase]),
  879. ("CD", [variable, "value", returnvalue]),
  880. ("DE", [variable_link]),
  881. ("DE", [value_link]),
  882. ("DE", [phase_link]),
  883. ]
  884. yield [("RETURN", [None])]
  885. def return_init(self, task_root):
  886. task_frame, = yield [("RD", [task_root, "frame"])]
  887. inst, = yield [("RD", [task_frame, "IP"])]
  888. value, = yield [("RD", [inst, "value"])]
  889. if value is None:
  890. prev_frame, = yield [("RD", [task_frame, "prev"])]
  891. # If the callee's frame is marked with the '__exception_return' key, then
  892. # we need to throw an exception instead of just finishing here. This design
  893. # gives us O(1) state reads per jit-interpreter transition.
  894. exception_return, = yield [("RD", [task_frame, primitive_functions.EXCEPTION_RETURN_KEY])]
  895. if prev_frame is None:
  896. _, = yield [("DN", [task_root])]
  897. del self.debug_info[self.taskname]
  898. #print("Cleanup task " + str(self.taskname))
  899. else:
  900. if self.debug_info[self.taskname]:
  901. self.debug_info[self.taskname].pop()
  902. _, _ = yield [("CD", [task_root, "frame", prev_frame]),
  903. ("DN", [task_frame]),
  904. ]
  905. if exception_return is not None:
  906. raise primitive_functions.InterpretedFunctionFinished(None)
  907. else:
  908. evalstack, evalstack_link, ip_link, new_evalstack, evalstack_phase = \
  909. yield [("RD", [task_frame, "evalstack"]),
  910. ("RDE", [task_frame, "evalstack"]),
  911. ("RDE", [task_frame, "IP"]),
  912. ("CN", []),
  913. ("CNV", ["eval"]),
  914. ]
  915. _, _, _, _, _, _, _ = \
  916. yield [("CD", [task_frame, "evalstack", new_evalstack]),
  917. ("CD", [new_evalstack, "prev", evalstack]),
  918. ("CD", [evalstack, "inst", inst]),
  919. ("CD", [evalstack, "phase", evalstack_phase]),
  920. ("CD", [task_frame, "IP", value]),
  921. ("DE", [evalstack_link]),
  922. ("DE", [ip_link]),
  923. ]
  924. yield [("RETURN", [None])]
  925. def return_eval(self, task_root):
  926. if self.debug_info[self.taskname]:
  927. self.debug_info[self.taskname].pop()
  928. task_frame, = yield [("RD", [task_root, "frame"])]
  929. prev_frame, = yield [("RD", [task_frame, "prev"])]
  930. if prev_frame is None:
  931. _, = yield [("DN", [task_root])]
  932. del self.debug_info[self.taskname]
  933. exception_return, returnvalue = yield [
  934. ("RD", [task_frame, primitive_functions.EXCEPTION_RETURN_KEY]),
  935. ("RD", [task_frame, "returnvalue"])]
  936. # If the callee's frame is marked with the '__exception_return' key, then
  937. # we need to throw an exception instead of just finishing here. This design
  938. # gives us O(1) state reads per jit-interpreter transition.
  939. if exception_return is not None:
  940. yield [
  941. ("CD", [task_root, "frame", prev_frame]),
  942. ("DN", [task_frame])]
  943. raise primitive_functions.InterpretedFunctionFinished(returnvalue)
  944. else:
  945. old_returnvalue_link, = yield [("RDE", [prev_frame, "returnvalue"])]
  946. yield [
  947. ("CD", [task_root, "frame", prev_frame]),
  948. ("CD", [prev_frame, "returnvalue", returnvalue]),
  949. ("DE", [old_returnvalue_link]),
  950. ("DN", [task_frame])]
  951. yield [("RETURN", [None])]
  952. def constant_init(self, task_root):
  953. task_frame, = yield [("RD", [task_root, "frame"])]
  954. phase_link, returnvalue_link, inst = \
  955. yield [("RDE", [task_frame, "phase"]),
  956. ("RDE", [task_frame, "returnvalue"]),
  957. ("RD", [task_frame, "IP"]),
  958. ]
  959. node, new_phase = yield [("RD", [inst, "node"]),
  960. ("CNV", ["finish"]),
  961. ]
  962. _, _, _, _ = yield [("CD", [task_frame, "phase", new_phase]),
  963. ("CD", [task_frame, "returnvalue", node]),
  964. ("DE", [returnvalue_link]),
  965. ("DE", [phase_link]),
  966. ]
  967. yield [("RETURN", [None])]
  968. def helper_init(self, task_root):
  969. task_frame, = yield [("RD", [task_root, "frame"])]
  970. inst, = yield [("RD", [task_frame, "IP"])]
  971. next, = yield [("RD", [inst, "next"])]
  972. if next is None:
  973. ip_link, phase_link, evalstack_top = \
  974. yield [("RDE", [task_frame, "IP"]),
  975. ("RDE", [task_frame, "phase"]),
  976. ("RD", [task_frame, "evalstack"]),
  977. ]
  978. evalstack, = yield [("RD", [evalstack_top, "prev"])]
  979. evalstack_inst, evalstack_phase, evalstack_inst_link, evalstack_phase_link = \
  980. yield [("RD", [evalstack, "inst"]),
  981. ("RD", [evalstack, "phase"]),
  982. ("RDE", [evalstack, "inst"]),
  983. ("RDE", [evalstack, "phase"]),
  984. ]
  985. _, _, _, _, _, _, _, _ = \
  986. yield [("CD", [task_frame, "evalstack", evalstack]),
  987. ("CD", [task_frame, "IP", evalstack_inst]),
  988. ("CD", [task_frame, "phase", evalstack_phase]),
  989. ("DE", [ip_link]),
  990. ("DE", [phase_link]),
  991. ("DE", [evalstack_inst_link]),
  992. ("DE", [evalstack_phase_link]),
  993. ("DN", [evalstack_top]),
  994. ]
  995. else:
  996. ip_link, phase_link, new_phase = \
  997. yield [("RDE", [task_frame, "IP"]),
  998. ("RDE", [task_frame, "phase"]),
  999. ("CNV", ["init"]),
  1000. ]
  1001. _, _, _, _ = yield [("CD", [task_frame, "IP", next]),
  1002. ("CD", [task_frame, "phase", new_phase]),
  1003. ("DE", [ip_link]),
  1004. ("DE", [phase_link]),
  1005. ]
  1006. yield [("RETURN", [None])]
  1007. def call_init(self, task_root):
  1008. task_frame, = yield [("RD", [task_root, "frame"])]
  1009. symbols, evalstack, evalstack_link, ip_link, inst = \
  1010. yield [("RD", [task_frame, "symbols"]),
  1011. ("RD", [task_frame, "evalstack"]),
  1012. ("RDE", [task_frame, "evalstack"]),
  1013. ("RDE", [task_frame, "IP"]),
  1014. ("RD", [task_frame, "IP"]),
  1015. ]
  1016. func, params = yield [("RD", [inst, "func"]),
  1017. ("RD", [inst, "params"]),
  1018. ]
  1019. if params is None:
  1020. new_evalstack, evalstack_phase = \
  1021. yield [("CN", []),
  1022. ("CNV", ["call"]),
  1023. ]
  1024. _, _, _, _, _, _, _ = \
  1025. yield [("CD", [task_frame, "evalstack", new_evalstack]),
  1026. ("CD", [new_evalstack, "prev", evalstack]),
  1027. ("CD", [evalstack, "inst", inst]),
  1028. ("CD", [evalstack, "phase", evalstack_phase]),
  1029. ("CD", [task_frame, "IP", func]),
  1030. ("DE", [evalstack_link]),
  1031. ("DE", [ip_link]),
  1032. ]
  1033. else:
  1034. new_evalstack,= yield [("CN", [])]
  1035. _, _, _, _, _, _, _ = \
  1036. yield [("CD", [task_frame, "evalstack", new_evalstack]),
  1037. ("CD", [new_evalstack, "prev", evalstack]),
  1038. ("CD", [evalstack, "inst", inst]),
  1039. ("CD", [evalstack, "phase", params]),
  1040. ("CD", [task_frame, "IP", func]),
  1041. ("DE", [evalstack_link]),
  1042. ("DE", [ip_link]),
  1043. ]
  1044. yield [("RETURN", [None])]
  1045. def call_call(self, task_root):
  1046. self.debug_info[self.taskname].append("None")
  1047. task_frame, = yield [("RD", [task_root, "frame"])]
  1048. inst, = yield [("RD", [task_frame, "IP"])]
  1049. param, = yield [("RD", [inst, "last_param"])]
  1050. if param is None:
  1051. returnvalue, = yield [("RD", [task_frame, "returnvalue"])]
  1052. body, = yield [("RD", [returnvalue, "body"])]
  1053. self.jit.mark_entry_point(body)
  1054. phase_link, frame_link, prev_phase, new_phase, new_frame, new_evalstack, new_symbols, new_returnvalue = \
  1055. yield [("RDE", [task_frame, "phase"]),
  1056. ("RDE", [task_root, "frame"]),
  1057. ("CNV", ["finish"]),
  1058. ("CNV", ["init"]),
  1059. ("CN", []),
  1060. ("CN", []),
  1061. ("CN", []),
  1062. ("CN", []),
  1063. ]
  1064. _, _, _, _, _, _, _, _, _, _, _ = \
  1065. yield [("CD", [task_root, "frame", new_frame]),
  1066. ("CD", [new_frame, "evalstack", new_evalstack]),
  1067. ("CD", [new_frame, "symbols", new_symbols]),
  1068. ("CD", [new_frame, "returnvalue", new_returnvalue]),
  1069. ("CD", [new_frame, "caller", inst]),
  1070. ("CD", [new_frame, "phase", new_phase]),
  1071. ("CD", [new_frame, "IP", body]),
  1072. ("CD", [new_frame, "prev", task_frame]),
  1073. ("CD", [task_frame, "phase", prev_phase]),
  1074. ("DE", [phase_link]),
  1075. ("DE", [frame_link]),
  1076. ]
  1077. else:
  1078. newer_frames, invoking_frames = \
  1079. yield [("RRD", [task_frame, "prev"]),
  1080. ("RRD", [inst, "caller"]),
  1081. ]
  1082. new_frame = self.find_overlapping(newer_frames, invoking_frames)
  1083. phase_link, frame_link, new_symbols, new_IP = \
  1084. yield [("RDE", [task_frame, "phase"]),
  1085. ("RDE", [task_root, "frame"]),
  1086. ("RD", [new_frame, "symbols"]),
  1087. ("RD", [new_frame, "IP"]),
  1088. ]
  1089. signature, = yield [("RRD", [new_IP, "body"])]
  1090. signature = signature[0]
  1091. sig_params, last_param = \
  1092. yield [("RD", [signature, "params"]),
  1093. ("RD", [inst, "last_param"]),
  1094. ]
  1095. self.jit.mark_entry_point(new_IP)
  1096. name, = yield [("RD", [last_param, "name"])]
  1097. name_value, = yield [("RV", [name])]
  1098. returnvalue, formal_parameter, new_phase, variable = \
  1099. yield [("RD", [task_frame, "returnvalue"]),
  1100. ("RD", [sig_params, name_value]),
  1101. ("CNV", ["finish"]),
  1102. ("CN", []),
  1103. ]
  1104. _, _, _, t1 = yield [("CD", [task_root, "frame", new_frame]),
  1105. ("CD", [task_frame, "phase", new_phase]),
  1106. ("CD", [variable, "value", returnvalue]),
  1107. ("CE", [new_symbols, variable]),
  1108. ]
  1109. _, _, _ = yield [("CE", [t1, formal_parameter]),
  1110. ("DE", [frame_link]),
  1111. ("DE", [phase_link]),
  1112. ]
  1113. yield [("RETURN", [None])]
  1114. def find_overlapping(self, a, b):
  1115. newer_frames = set(a)
  1116. invoking_frames = set(b)
  1117. matches = list(newer_frames.intersection(invoking_frames))
  1118. if len(matches) == 1:
  1119. return matches[0]
  1120. elif len(matches) > 1:
  1121. raise Exception("Error: multiple overlapping elements")
  1122. else:
  1123. raise Exception("Error: could not find any overlap")
  1124. def call_param(self, task_root):
  1125. task_frame, = yield [("RD", [task_root, "frame"])]
  1126. inst, phase = yield [("RD", [task_frame, "IP"]),
  1127. ("RD", [task_frame, "phase"]),
  1128. ]
  1129. params, last_param = \
  1130. yield [("RD", [inst, "params"]),
  1131. ("RD", [inst, "last_param"]),
  1132. ]
  1133. next_param, = yield [("RD", [params, "next_param"])]
  1134. if params == phase:
  1135. phase_link, ip_link, returnvalue, param_value, evalstack, evalstack_link = \
  1136. yield [("RDE", [task_frame, "phase"]),
  1137. ("RDE", [task_frame, "IP"]),
  1138. ("RD", [task_frame, "returnvalue"]),
  1139. ("RD", [params, "value"]),
  1140. ("RD", [task_frame, "evalstack"]),
  1141. ("RDE", [task_frame, "evalstack"]),
  1142. ]
  1143. body, = yield [("RD", [returnvalue, "body"])]
  1144. new_frame, prev_evalstack, new_phase, prev_phase, new_evalstack, new_symbols, new_returnvalue = \
  1145. yield [("CN", []),
  1146. ("CN", []),
  1147. ("CNV", ["init"]),
  1148. ("CNV", ["init"]),
  1149. ("CN", []),
  1150. ("CN", []),
  1151. ("CN", []),
  1152. ]
  1153. _, _, _, _, _, _, _, _, _, _, _, _, _, _, _ = \
  1154. yield [("CD", [new_frame, "evalstack", new_evalstack]),
  1155. ("CD", [new_frame, "symbols", new_symbols]),
  1156. ("CD", [new_frame, "returnvalue", new_returnvalue]),
  1157. ("CD", [new_frame, "caller", inst]),
  1158. ("CD", [new_frame, "phase", new_phase]),
  1159. ("CD", [new_frame, "IP", body]),
  1160. ("CD", [new_frame, "prev", task_frame]),
  1161. ("CD", [task_frame, "phase", prev_phase]),
  1162. ("CD", [task_frame, "IP", param_value]),
  1163. ("CD", [prev_evalstack, "prev", evalstack]),
  1164. ("CD", [evalstack, "inst", inst]),
  1165. ("CD", [task_frame, "evalstack", prev_evalstack]),
  1166. ("DE", [evalstack_link]),
  1167. ("DE", [ip_link]),
  1168. ("DE", [phase_link]),
  1169. ]
  1170. if next_param is not None:
  1171. _ = yield [("CD", [evalstack, "phase", next_param])]
  1172. else:
  1173. evalstack_phase, = \
  1174. yield [("CNV", ["call"])]
  1175. _ = yield [("CD", [evalstack, "phase", evalstack_phase])]
  1176. else:
  1177. frame_link, phase_link, newer_frames, invoking_frames = \
  1178. yield [("RDE", [task_root, "frame"]),
  1179. ("RDE", [task_frame, "phase"]),
  1180. ("RRD", [task_frame, "prev"]),
  1181. ("RRD", [inst, "caller"]),
  1182. ]
  1183. new_frame = self.find_overlapping(newer_frames, invoking_frames)
  1184. ip_link, evalstack, evalstack_link, new_symbols, new_IP = \
  1185. yield [("RDE", [task_frame, "IP"]),
  1186. ("RD", [task_frame, "evalstack"]),
  1187. ("RDE", [task_frame, "evalstack"]),
  1188. ("RD", [new_frame, "symbols"]),
  1189. ("RD", [new_frame, "IP"]),
  1190. ]
  1191. signature, = yield [("RRD", [new_IP, "body"])]
  1192. signature = signature[0]
  1193. sig_params, = yield [("RD", [signature, "params"])]
  1194. if last_param == phase:
  1195. prev_param, = \
  1196. yield [("RRD", [last_param, "next_param"])]
  1197. prev_param = prev_param[0]
  1198. name, = yield [("RD", [prev_param, "name"])]
  1199. name_value, = \
  1200. yield [("RV", [name])]
  1201. evalstack_phase, = \
  1202. yield [("CNV", ["call"])]
  1203. _ = yield [("CD", [evalstack, "phase", evalstack_phase])]
  1204. formal_parameter, param_value = \
  1205. yield [("RD", [sig_params, name_value]),
  1206. ("RD", [last_param, "value"]),
  1207. ]
  1208. else:
  1209. param_b, = yield [("RD", [task_frame, "phase"])]
  1210. param_c, param_a = \
  1211. yield [("RD", [param_b, "next_param"]),
  1212. ("RRD", [param_b, "next_param"]),
  1213. ]
  1214. param_a = param_a[0]
  1215. name, param_value = \
  1216. yield [("RD", [param_a, "name"]),
  1217. ("RD", [param_b, "value"]),
  1218. ]
  1219. name_value, = \
  1220. yield [("RV", [name])]
  1221. formal_parameter, _ = \
  1222. yield [("RD", [sig_params, name_value]),
  1223. ("CD", [evalstack, "phase", param_c]),
  1224. ]
  1225. new_phase, new_evalstack, variable, returnvalue = \
  1226. yield [("CNV", ["init"]),
  1227. ("CN", []),
  1228. ("CN", []),
  1229. ("RD", [task_frame, "returnvalue"]),
  1230. ]
  1231. _, _, _, _, _, _ = \
  1232. yield [("CD", [task_frame, "evalstack", new_evalstack]),
  1233. ("CD", [new_evalstack, "prev", evalstack]),
  1234. ("CD", [evalstack, "inst", inst]),
  1235. ("CD", [task_frame, "phase", new_phase]),
  1236. ("CD", [task_frame, "IP", param_value]),
  1237. ("CD", [variable, "value", returnvalue]),
  1238. ]
  1239. t1, = yield [("CE", [new_symbols, variable])]
  1240. _, _, _, _ = \
  1241. yield [("CE", [t1, formal_parameter]),
  1242. ("DE", [phase_link]),
  1243. ("DE", [ip_link]),
  1244. ("DE", [evalstack_link]),
  1245. ]
  1246. yield [("RETURN", [None])]
  1247. def input_init(self, task_root):
  1248. task_frame, = yield [("RD", [task_root, "frame"])]
  1249. returnvalue_link, _input = \
  1250. yield [("RDE", [task_frame, "returnvalue"]),
  1251. ("RD", [task_root, "input"]),
  1252. ]
  1253. value, next, phase_link = \
  1254. yield [("RD", [_input, "value"]),
  1255. ("RD", [_input, "next"]),
  1256. ("RDE", [task_frame, "phase"]),
  1257. ]
  1258. if value is not None:
  1259. v = yield [("RV", [value])]
  1260. _, _, finish = \
  1261. yield [("CD", [task_frame, "returnvalue", value]),
  1262. ("CD", [task_root, "input", next]),
  1263. ("CNV", ["finish"]),
  1264. ]
  1265. _, _, _, _ = \
  1266. yield [("CD", [task_frame, "phase", finish]),
  1267. ("DN", [_input]),
  1268. ("DE", [returnvalue_link]),
  1269. ("DE", [phase_link]),
  1270. ]
  1271. self.input_value = value
  1272. else:
  1273. # No input yet, so just wait and don't advance IP or phase
  1274. self.input_value = None
  1275. ex = primitive_functions.SleepKernel(0.1, True)
  1276. raise ex
  1277. yield [("RETURN", [None])]
  1278. def output_init(self, task_root):
  1279. task_frame, = yield [("RD", [task_root, "frame"])]
  1280. evalstack, evalstack_link, ip_link, inst = \
  1281. yield [("RD", [task_frame, "evalstack"]),
  1282. ("RDE", [task_frame, "evalstack"]),
  1283. ("RDE", [task_frame, "IP"]),
  1284. ("RD", [task_frame, "IP"]),
  1285. ]
  1286. value, new_evalstack, evalstack_phase = \
  1287. yield [("RD", [inst, "value"]),
  1288. ("CN", []),
  1289. ("CNV", ["output"]),
  1290. ]
  1291. _, _, _, _, _, _, _ = \
  1292. yield [("CD", [task_frame, "evalstack", new_evalstack]),
  1293. ("CD", [new_evalstack, "prev", evalstack]),
  1294. ("CD", [evalstack, "inst", inst]),
  1295. ("CD", [evalstack, "phase", evalstack_phase]),
  1296. ("CD", [task_frame, "IP", value]),
  1297. ("DE", [evalstack_link]),
  1298. ("DE", [ip_link]),
  1299. ]
  1300. yield [("RETURN", [None])]
  1301. def output_output(self, task_root):
  1302. task_frame, = yield [("RD", [task_root, "frame"])]
  1303. returnvalue_link, returnvalue, last_output, phase_link, last_output_link, new_last_output, finish = \
  1304. yield [("RDE", [task_frame, "returnvalue"]),
  1305. ("RD", [task_frame, "returnvalue"]),
  1306. ("RD", [task_root, "last_output"]),
  1307. ("RDE", [task_frame, "phase"]),
  1308. ("RDE", [task_root, "last_output"]),
  1309. ("CN", []),
  1310. ("CNV", ["finish"]),
  1311. ]
  1312. _, _, _, _, _, _ = \
  1313. yield [("CD", [last_output, "value", returnvalue]),
  1314. ("CD", [last_output, "next", new_last_output]),
  1315. ("CD", [task_root, "last_output", new_last_output]),
  1316. ("CD", [task_frame, "phase", finish]),
  1317. ("DE", [last_output_link]),
  1318. ("DE", [phase_link]),
  1319. ]
  1320. yield [("RETURN", [None])]
  1321. def declare_init(self, task_root):
  1322. task_frame, = yield [("RD", [task_root, "frame"])]
  1323. inst, = yield [("RD", [task_frame, "IP"])]
  1324. new_var, symbols, phase_link, empty_node, new_phase = \
  1325. yield [("RD", [inst, "var"]),
  1326. ("RD", [task_frame, "symbols"]),
  1327. ("RDE", [task_frame, "phase"]),
  1328. ("CN", []),
  1329. ("CNV", ["finish"]),
  1330. ]
  1331. exists, = yield [("RDN", [symbols, new_var])]
  1332. if exists is None:
  1333. new_edge, = yield [("CE", [symbols, empty_node])]
  1334. _ = yield [("CE", [new_edge, new_var])]
  1335. _, _ = yield [("CD", [task_frame, "phase", new_phase]),
  1336. ("DE", [phase_link]),
  1337. ]
  1338. yield [("RETURN", [None])]
  1339. def global_init(self, task_root):
  1340. task_frame, = yield [("RD", [task_root, "frame"])]
  1341. inst, = yield [("RD", [task_frame, "IP"])]
  1342. new_var, global_symbols, phase_link, empty_node, new_phase = \
  1343. yield [("RD", [inst, "var"]),
  1344. ("RD", [task_root, "globals"]),
  1345. ("RDE", [task_frame, "phase"]),
  1346. ("CN", []),
  1347. ("CNV", ["finish"]),
  1348. ]
  1349. value, = yield [("RV", [new_var])]
  1350. exists, = yield [("RDE", [global_symbols, value])]
  1351. if exists is not None:
  1352. yield [("DE", [exists])]
  1353. yield [("CD", [global_symbols, value, empty_node])]
  1354. _, _ = yield [("CD", [task_frame, "phase", new_phase]),
  1355. ("DE", [phase_link])
  1356. ]
  1357. yield [("RETURN", [None])]